SystemC, une alternative pour la modélisation et la synthèse ?

SystemC, une alternative pour la modélisation et la synthèse ?

Le CETIC vous invite à participer à un débat autour des systèmes électroniques.
Cette rencontre aura lieu le jeudi 12 février 2004, de 16h30 à 19h00 au Point Centre, Aéropole, Avenue Georges Lemaître 19, 6041 Charleroi.

Date: 12 février 2004

Evènement: Groupes de Discussion 

Expertises:

Evolutivité des systèmes embarqués et réseaux IoT 

A propos du projet: RETICOM 

Intervenants

- Damien Hubaux (CETIC)
- Bart Vanthournout (CoWare)
- Yves Vanderperren (KUL)
- Jean-Luc Dekeyser (LIFL-Lille I)

Description de l’événement

Des évolutions importantes sont en cours dans le domaine des Langages de Description Hardware (HDL). Des outils prenant en charge la synthèse de code C apparaissent, des extensions aux langages HDL existants sont proposées(SystemVerilog), des langages apparaissent pour des besoins spécifiques (PSL : Property Specification Language)...

SystemC est l’un des acteurs de poids dans ce paysage. Il s’agit d’une librairie C qui implémente les concepts nécessaires au développement hardware et qui inclut un noyau de simulation. Une implémentation de référence open source est fournie par l’Open SystemC Initiative. SystemC peut ainsi être vu comme un HDL, mais les capacités du C le rendent très intéressant pour la modélisation au niveau du système.

Le groupe de discussion sera organisé comme suit :

  • Introduction, conduite en fonction de l’expérience du public (HDL, C ), ainsi qu’un aperçu des perspectives offertes par SystemC (adoption, support des outils, etc).
  • Une présentation centrée sur l’activité effectuée autour de SystemC chez Alcatel Microelectronics / ST.
  • Un représentant de CoWare, l’un des membres fondateurs de l’OSCI, présentera une méthodologie adaptée au développement de SoC (System on Chip).
  • Le groupe de discussion se terminera par une discussion ouverte.

Ce groupe de discussion est l’occasion de rencontrer des personnes expérimentés dans l’utilisation de SystemC. Il vous sera possible de débattre autour de l’intérêt de ce langage dans la conception des systèmes électroniques actuels et futurs, ses avantages, ses limitations et ses utilisations possibles.

Présentations

Introduction to SystemC (CETIC)
SoC design methodology (CoWare)
A model based SoC design methodology (KUL)
From UML to SystemC (LIFL)