Book Chapter : Towards an Energy-Aware Framework for Application Development and Execution in Heterogeneous Parallel Architectures

Book Chapter : Towards an Energy-Aware Framework for Application Development and Execution in Heterogeneous Parallel Architectures

Djemame K. et al. (2019) Towards an Energy-Aware Framework for Application Development and Execution in Heterogeneous Parallel Architectures. In : Kachris C., Falsafi B., Soudris D. (eds) Hardware Accelerators in Data Centers. Springer, Cham. https://doi.org/10.1007/978-3-319-92792-3_7

Date: 22 août 2018

Publication: Publications scientifiques 

Expertises:

Ingénierie des systèmes IT complexes 

Algorithmique et Optimisation Combinatoire 

Evolutivité des systèmes embarqués et réseaux IoT 

Domaine: Secteur numérique 

A propos du projet: TANGO 

Authors

Karim Djemame, Richard Kavanagh, Vasilios Kelefouras, Adrià Aguilà, Jorge Ejarque, Rosa M. Badia, David García Pérez, Clara Pezuela, Jean-Christophe Deprez, Lotfi Guedria, Renaud De Landtsheer, Yiannis Georgiou.

Abstract

The Transparent heterogeneous hardware Architecture deployment for eNergy Gain in Operation (TANGO) project’s goal is to characterise factors which affect power consumption in software development and operation for Heterogeneous Parallel Hardware (HPA) environments.
Its main contribution is the combination of requirements engineering and design modelling for self-adaptive software systems, with power consumption awareness in relation to these environments.
The energy efficiency and application quality factors are integrated into the application lifecycle (design, implementation and operation). To support this, the key novelty of the project is a reference architecture and its implementation.
Moreover, a programming model with built-in support for various hardware architectures including heterogeneous clusters, heterogeneous chips and programmable logic devices is provided.
This leads to a new cross-layer programming approach for heterogeneous parallel hardware architectures featuring software and hardware modelling. Application power consumption and performance, data location and time-criticality optimization, as well as security and dependability requirements on the target hardware architecture are supported by the architecture.

Voir en ligne : https://link.springer.com/chapter/1...